📜  quartus 错误 10327 - 任何代码示例

📅  最后修改于: 2022-03-11 14:56:59.655000             🧑  作者: Mango

代码示例1
-- sll,srl,rol, etc. cannot be used on a std_logic_vector until VHDL 2008
-- one workaround is to convert to the unsigned type from ieee.numeric_std,
-- carry out the shift and then convert back to std_logic_vector

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;

...
Dout <= std_logic_vector(unsigned(Din) sll 1);