📜  变量赋值中的目标类型 ieee.std_logic_1164.STD_LOGIC_VECTOR 与表达式类型 ieee.std_logic_1164.STD_ULOGIC 不同. - Javascript代码示例

📅  最后修改于: 2022-03-11 15:03:56.758000             🧑  作者: Mango

代码示例1
type t_g is array (1 downto 1, 3 downto 1)of integer;
signal g: t_g;