📜  vhdl 整数到 std_logic_vector - 任何代码示例

📅  最后修改于: 2022-03-11 15:00:45.792000             🧑  作者: Mango

代码示例1
use ieee.numeric_std.all;
...
my_slv <= std_logic_vector(to_unsigned(my_int, my_slv'length));