📝 Verilog教程

50篇技术文档
  Verilog灰色计数器

📅  最后修改于: 2021-01-11 15:15:50        🧑  作者: Mango

Verilog灰色计数器格雷码是一种二进制数字系统,一次只能更改一位。如今,格雷码已在数字世界中广泛使用。这将有助于纠错和信号传输。格雷计数器在VLSI域的设计和验证中也很有用。格雷码将整数编码为位序列,其特性是相邻整数的表示在一个二进制位置上完全不同。格雷码有多种类型,例如平衡,二进制反射,最大间隙和对映格雷码。计数器的主要函数是产生指定的输出序列,有时也称为模式发生器。设计在格雷码中,一次仅更...

  Verilog文件操作

📅  最后修改于: 2021-01-11 15:16:52        🧑  作者: Mango

Verilog文件操作Verilog具有系统任务和功能,可以打开文件,将值输出到文件,从文件中读取值以及加载到其他变量和关闭文件中。此应用程序描述了Verilog模型或测试平台如何读取文本和二进制文件以加载内存,施加刺激以及控制仿真。文件I / O函数格式基于C stdio例程,例如fopen,fgetc,fprintf和fscanf。Verilog语言具有一组系统功能来写入文件($ fdispl...

  Verilog Full Adder

📅  最后修改于: 2021-01-11 15:17:48        🧑  作者: Mango

Verilog Full Adder全加法器是一个数字组件,它执行三个数字,并使用逻辑门实现。它是处理器ALU中的主要组件,用于增加地址,表索引,缓冲区指针和其他需要加法的位置。1位全加法器将3个1位二进制数,2个输入位,1个进位位相加,并输出总和和一个进位位。通过使用两个半加器并对它们的最终输出进行“或”运算,形成一个完整的加法器。半加法器将两个二进制数相加。完整的加法器是组合电路,因此可以用V...

  Verilog优先级编码器

📅  最后修改于: 2021-01-11 15:18:55        🧑  作者: Mango

Verilog优先编码器编码器是组合电路。它具有2 ^ n条输入线和n条输出线。它占用这2 ^ n个输入数据,并将它们编码为n位数据。并产生等效于输入线的二进制代码,该输入线为高电平有效。但是,普通的编码器有问题。如果多于一条逻辑值为1的输入线,它将对错误的输出进行编码。仅当其中一个输入为高时,它才起作用。在多个高输入的情况下,它会发生故障。因此,为解决上述缺点,我们“优先”确定每个输入的级别。因...

  Verilog Multiplexer

📅  最后修改于: 2021-01-11 15:20:01        🧑  作者: Mango

Verilog多路复用器多路复用器是一种从多个输入中选择一个输出的设备。也称为数据选择器。我们用术语MUX和MPX指一个多路复用器。在通信系统中使用多路复用器以在一定的时间和带宽内增加通过网络发送的数据量。它使我们可以将多条数据线压缩为一条数据线。它在许多输入线之一之间切换,并将它们一一合并到输出。它使用控制信号来决定切换哪条输入线。物理上,多路复用器具有n个输入引脚,一个输出引脚和m个控制引脚。...