📜  同步数字电路系统中的时钟偏移(1)

📅  最后修改于: 2023-12-03 15:37:10.410000             🧑  作者: Mango

同步数字电路系统中的时钟偏移

概述

在数字电路系统中,时钟偏移是指由于不同器件的震荡频率不同而导致时钟信号到达不同元件的时间存在微小的差异。这个偏移量可能非常微小,但在某些情况下会严重影响系统的性能和准确性。

为了解决这个问题,我们需要在系统中加入同步电路和延时电路,并通过软件和电路设计来限制这个偏移量。

同步电路

同步电路是用于协调时钟信号到达不同元件的电路。在同步电路中,我们需要考虑两个方面:稳定性和低延迟。为此,我们通常使用锁相环(PLL)或延迟锁定环(DLL),它们是最常见的同步电路。

锁相环(PLL)

锁相环是一种基于负反馈的电路,用于将输入信号(参考信号)和输出信号(产生的时钟信号)进行比较,然后校准输出信号以匹配输入信号。PLL通常由比较器、相位检测器、环形振荡器和除频器组成。

相位检测器检测输入信号和输出信号的差异,然后将这个差异作为反馈信号调整振荡电路的频率和相位,以确保输出信号与输入信号相一致。除频器则用于降低输出频率。锁相环广泛用于数字电路中的时钟恢复,数字信号去抖动,替代高精度晶时钟等应用场景。

延迟锁定环(DLL)

延迟锁定环通常用于同步高速数据信号,如DDR内存控制器等。它通过储存和输出同步延迟来协调信号,使得输入和输出信号之间的相对时序关系得到控制。

DLL通常由储存单元、多相时钟生成器和比较器组成。储存单元用于储存时钟引用信号,多相时钟生成器用于产生多个不同相位的时钟信号,比较器用于比较输入和输出信号的差异。DLL的工作原理是通过不同的输入信号相位到输出的不同延迟时间,保持输入和输出信号在时序上的一致性。

延迟电路

延迟电路常用于同步不同模块的时钟信号,以确保它们能够在预期时刻被执行。延迟电路可以采用不同的设计,包括计数器、移位寄存器和线性反馈移位寄存器。

计数器

计数器是最简单、最常见的延迟电路之一。它通过计算一个已知时钟周期的整数倍来产生一个指定的延迟量。例如,如果我们需要12个时钟周期的延迟,我们可以使用一个计数器并将其设置为计数12。

移位寄存器

移位寄存器是一种非线性反馈电路,与计数器相比,具有更好的精度和灵活性。它通过移位寄存器中所有存储单元的移位操作来产生一个指定的延迟。移位寄存器也可以通过调整移位寄存器的长度或连接多个寄存器来调整延迟量。

线性反馈移位寄存器

线性反馈移位寄存器(LFSR)是一种特殊的移位寄存器,它可以生成一个伪随机序列。LFSR可以提供更多的灵活性和可变性,因为它可以修改反馈多项式来产生不同的序列,并可以通过初始状态来调整延迟量。

结论

时钟偏移是数字电路系统中的一个非常重要的问题,需要通过同步和延迟电路来解决。在系统设计中,我们需要特别关注器件的震荡频率和时钟信号的延迟,以确保系统的稳定性和准确性。

代码片段

module top(
        input clk,      //时钟信号
        input rstn,    //复位信号
        input [7:0] addr, //地址
        input [31:0] data_in, //输入数据
        output [31:0] data_out //输出数据
);
 
//创建一个三级锁相环
wire clk_fb; //反馈信号
wire clk_p1; //1倍频时钟
wire clk_p2; //2倍频时钟
wire clk_p4; //4倍频时钟
 
phase_detector #(.WIDTH(4)) pd_inst(
        .clk1(clk),
        .clk2(clk_fb),
        .phase_out(phase_out)
);
 
pll #(
        .N_FREQ1_LIM(10000),
        .N_FREQ2_LIM(20000),
        .N_FREQ3_LIM(40000),
        .N_FREQ4_LIM(80000),
        .FREQ_IN(5000),
        .CB_OUT(2),
        .CD_OUT(0),
        .MULT_WIDTH(3),
        .PHASE_WIDTH(4),
        .FILTER_WIDTH(4)
) pll_inst(
        .clk_p1(clk_p1),
        .clk_p2(clk_p2),
        .clk_p4(clk_p4),
        .clk_fb(clk_fb),
        .rstn(rstn),
        .phase_in(phase_out),
        .freq1_out(freq1_out),
        .freq2_out(freq2_out),
        .freq3_out(freq3_out),
        .freq4_out(freq4_out),
        .mult_out(mult_out),
        .filter_out(filter_out)
);
 
//创建一个3级延迟线
wire [31:0] data_out_delayed = 3'd2; //3级延迟线
lvl3_delay #(.WIDTH(32)) delay_inst(
        .data_in(data_in),
        .data_out(data_out_delayed)
);
 
assign data_out = data_out_dealyed;
 
endmodule

这里展示了一个基于Verilog的数字电路同步设计的例子。该例子实现了一个三级锁相环和一个3级延迟线来同步输入和输出数据。需要注意的是,这里的代码片段只是一个示例,不包含完整的设计。