📜  数字逻辑中的环形计数器

📅  最后修改于: 2021-09-28 10:03:26             🧑  作者: Mango

环形计数器是移位电阻的典型应用。环形计数器与移位计数器几乎相同。唯一的变化是,在环形计数器的情况下,最后一个触发器的输出连接到第一个触发器的输入,但在移位电阻器的情况下,它被作为输出。除了这个,其他的都一样。

No. of states in Ring counter = No. of flip-flop used

因此,为了设计 4 位环形计数器,我们需要 4 个触发器。

在此图中,我们可以看到时钟脉冲 (CLK) 同时应用于所有触发器。因此,它是一个同步计数器。
此外,这里我们对每个触发器使用覆盖输入 (ORI)。预设 (PR) 和清除 (CLR) 用作 ORI。

PR为0时,输出为1。CLR为0时,输出为0。PR和CLR均为低电平有效信号,始终工作在0值。

PR = 0, Q = 1
CLR = 0, Q = 0

这两个值总是固定的。它们与输入 D 的值和时钟脉冲 (CLK) 无关。

在职的 –
此处,ORI 连接到 FF-0 中的预设 (PR),并连接到 FF-1、FF-2 和 FF-3 中的清除 (CLR)。因此,输出 Q = 1 在 FF-0 处生成,触发器的其余部分生成输出 Q = 0。此输出 Q = 1 在 FF-0 处称为预设 1,用于在环形计数器。

这个预设 1 是通过使 ORI 为低而产生的,并且时钟 (CLK) 变得无关紧要。之后,当时钟 (CLK) 被负沿触发时,ORI 变为高电平并应用低时钟脉冲信号。之后,在每个时钟脉冲,预设的 1 被移到下一个触发器,从而形成 Ring。

从上表中,我们可以说 4 位环形计数器有 4 种状态。

4 states are:
  1 0 0 0
  0 1 0 0
  0 0 1 0
  0 0 0 1

这样就可以用四个D触发器设计4位环形计数器。

环形计数器的类型 – 环形计数器有两种类型:

  1. 直环计数器 –
    它也被称为 One hot Counter。在这个计数器中,最后一个触发器的输出连接到第一个触发器的输入。这个计数器的要点是它在环周围循环一个(或零)位。

    在这里,我们在第一个触发器中使用预设 (PR),在最后三个触发器中使用时钟 (CLK)。

  2. 扭环计数器 –
    它也被称为开关尾环计数器、行走环计数器或约翰逊计数器。它将最后一个移位寄存器的输出的补码连接到第一个寄存器的输入,并在环周围循环一串一后跟零。

    在这里,我们为所有触发器使用时钟(CLK)。